FIFO

Jeff Mrochuk jmrochuk at ieee.org
Thu Jun 6 14:56:57 EDT 2002


Mission accomplished, using LPM_RAM I've got the design to be much
smaller and faster.  A compilable version will be in CVS soon.  Of
course I still have to simulate and make sure that it works.

Then of course I could have used LPM_FIFO.  But that would be too easy.

Jeff
-- 



More information about the Manticore mailing list