[nexuiz-commits] r7878 - in branches/nexuiz-2.0: . data/maps data/qcsrc/server

DONOTREPLY at icculus.org DONOTREPLY at icculus.org
Wed Sep 23 06:24:51 EDT 2009


Author: div0
Date: 2009-09-23 06:24:51 -0400 (Wed, 23 Sep 2009)
New Revision: 7878

Modified:
   branches/nexuiz-2.0/.patchsets
   branches/nexuiz-2.0/data/maps/reslimed.waypoints
   branches/nexuiz-2.0/data/maps/reslimed.waypoints.cache
   branches/nexuiz-2.0/data/qcsrc/server/bots.qc
   branches/nexuiz-2.0/data/qcsrc/server/cl_weapons.qc
   branches/nexuiz-2.0/data/qcsrc/server/cl_weaponsystem.qc
   branches/nexuiz-2.0/data/qcsrc/server/race.qc
   branches/nexuiz-2.0/data/qcsrc/server/w_rocketlauncher.qc
Log:
r7840 | mand1nga | 2009-09-19 21:17:04 -0400 (Sat, 19 Sep 2009) | 1 line
Fix warning
r7841 | mand1nga | 2009-09-19 21:22:56 -0400 (Sat, 19 Sep 2009) | 1 line
Revert revert of lag simulation refactoring
r7842 | mand1nga | 2009-09-19 22:46:24 -0400 (Sat, 19 Sep 2009) | 1 line
Airshot announcer for rocket launcher
r7843 | mand1nga | 2009-09-20 01:04:34 -0400 (Sun, 20 Sep 2009) | 1 line
Fixed waypoints
r7844 | div0 | 2009-09-20 05:26:43 -0400 (Sun, 20 Sep 2009) | 2 lines
save/restore v_forward when calling weapon think functions (fixes mikeeusa's "Crylink fires two streams" bug)
r7845 | div0 | 2009-09-20 06:06:26 -0400 (Sun, 20 Sep 2009) | 2 lines
race: do not fully verify CTS maps


Modified: branches/nexuiz-2.0/.patchsets
===================================================================
--- branches/nexuiz-2.0/.patchsets	2009-09-23 10:21:50 UTC (rev 7877)
+++ branches/nexuiz-2.0/.patchsets	2009-09-23 10:24:51 UTC (rev 7878)
@@ -1,2 +1,2 @@
 master = svn://svn.icculus.org/nexuiz/trunk
-revisions_applied = 1-7563,7565-7586,7589-7589,7592-7592,7595-7595,7597-7597,7599-7602,7605-7610,7612-7615,7619-7620,7623-7623,7626-7628,7630-7630,7644-7651,7656-7656,7658-7660,7663-7665,7670-7670,7672-7676,7678-7680,7686-7687,7689-7698,7701-7701,7703-7714,7717-7723,7731-7731,7735-7741,7744-7745,7752-7754,7756-7758,7761-7764,7771-7773,7775-7775,7778-7778,7781-7788,7795-7816,7818-7834,7836-7838
+revisions_applied = 1-7563,7565-7586,7589-7589,7592-7592,7595-7595,7597-7597,7599-7602,7605-7610,7612-7615,7619-7620,7623-7623,7626-7628,7630-7630,7644-7651,7656-7656,7658-7660,7663-7665,7670-7670,7672-7676,7678-7680,7686-7687,7689-7698,7701-7701,7703-7714,7717-7723,7731-7731,7735-7741,7744-7745,7752-7754,7756-7758,7761-7764,7771-7773,7775-7775,7778-7778,7781-7788,7795-7816,7818-7834,7836-7838,7840-7845

Modified: branches/nexuiz-2.0/data/maps/reslimed.waypoints
===================================================================
--- branches/nexuiz-2.0/data/maps/reslimed.waypoints	2009-09-23 10:21:50 UTC (rev 7877)
+++ branches/nexuiz-2.0/data/maps/reslimed.waypoints	2009-09-23 10:24:51 UTC (rev 7878)
@@ -1,72 +1,132 @@
-'-242.4   1.6 352.1'
-'-242.4   1.6 352.1'
+'996.0 -1103.1 160.1'
+'996.0 -1103.1 160.1'
 0
-'-265.2 578.2 352.1'
-'-265.2 578.2 352.1'
+'576.6 -382.7 -55.9'
+'576.6 -382.7 -55.9'
 0
-'-627.7 666.7 352.1'
-'-627.7 666.7 352.1'
+'-718.7 -795.2 -55.9'
+'-718.7 -795.2 -55.9'
 0
-'-624.8 210.8 -55.9'
-'-624.8 210.8 -55.9'
+'-491.1 -246.0 397.6'
+'-491.1 -246.0 397.6'
 0
-'-631.8 509.5 -55.9'
-'-631.8 509.5 -55.9'
+'-479.5 -563.0 408.1'
+'-479.5 -563.0 408.1'
 0
-'561.2 479.4 -55.9'
-'561.2 479.4 -55.9'
+'-26.4 -474.1 400.1'
+'-26.4 -474.1 400.1'
 0
-'1356.0 539.8  88.1'
-'1356.0 539.8  88.1'
+'-84.6 -541.0 400.1'
+'-84.6 -541.0 400.1'
 0
-'1562.1 474.6  88.1'
-'1562.1 474.6  88.1'
+'-27.1 -283.6 399.0'
+'-27.1 -283.6 399.0'
 0
-'1511.0 185.7  88.1'
-'1511.0 185.7  88.1'
+'-29.6 -33.3 352.1'
+'-29.6 -33.3 352.1'
 0
-'1516.7 -155.4  88.1'
-'1516.7 -155.4  88.1'
+'-266.3 -376.7 256.1'
+'-266.3 -376.7 256.1'
 0
-'1320.5 -138.3  88.1'
-'1320.5 -138.3  88.1'
+'102.0 -388.7 160.1'
+'102.0 -388.7 160.1'
 0
-'581.6 -143.2 -55.9'
-'581.6 -143.2 -55.9'
+'100.4  19.8 160.1'
+'100.4  19.8 160.1'
 0
-'776.0 176.7 -71.9'
-'776.0 176.7 -71.9'
+'-1532.7 -773.0  40.1'
+'-1532.7 -773.0  40.1'
 0
-'526.3   5.9 352.1'
-'526.3   5.9 352.1'
+'-1348.8 -1684.0 -55.9'
+'-1348.8 -1684.0 -55.9'
 0
-'150.2 -22.0 352.1'
-'150.2 -22.0 352.1'
+'-669.1 -1750.8 -55.9'
+'-669.1 -1750.8 -55.9'
 0
-' -5.2 -1100.2 160.1'
-' -5.2 -1100.2 160.1'
+'-693.7 -400.5 -55.9'
+'-693.7 -400.5 -55.9'
 0
-'115.1 -942.8  64.1'
-'115.1 -942.8  64.1'
+'-261.1 -401.9 -87.9'
+'-261.1 -401.9 -87.9'
 0
-'-1347.6 -1281.3 -55.9'
-'-1347.6 -1281.3 -55.9'
+'-203.2 199.5 -55.9'
+'-203.2 199.5 -55.9'
 0
-'-1349.6 -924.1 -55.9'
-'-1349.6 -924.1 -55.9'
+'612.7 -657.8 -55.9'
+'612.7 -657.8 -55.9'
 0
-'-1481.3 -338.1 160.1'
-'-1481.3 -338.1 160.1'
+'1007.8 -665.9  64.1'
+'1007.8 -665.9  64.1'
 0
-'-814.9 -178.7 160.1'
-'-814.9 -178.7 160.1'
+'-1159.6 -275.4 160.1'
+'-1159.6 -275.4 160.1'
 0
+'-1014.7 -791.2 -55.9'
+'-1014.7 -791.2 -55.9'
+0
 '-559.0  20.7 160.1'
 '-559.0  20.7 160.1'
 0
-'-1014.7 -791.2 -55.9'
-'-1014.7 -791.2 -55.9'
+'-814.9 -178.7 160.1'
+'-814.9 -178.7 160.1'
 0
-'-1159.6 -275.4 160.1'
-'-1159.6 -275.4 160.1'
+'-1481.3 -338.1 160.1'
+'-1481.3 -338.1 160.1'
 0
+'-1349.6 -924.1 -55.9'
+'-1349.6 -924.1 -55.9'
+0
+'-1347.6 -1281.3 -55.9'
+'-1347.6 -1281.3 -55.9'
+0
+'115.1 -942.8  64.1'
+'115.1 -942.8  64.1'
+0
+' -5.2 -1100.2 160.1'
+' -5.2 -1100.2 160.1'
+0
+'150.2 -22.0 352.1'
+'150.2 -22.0 352.1'
+0
+'526.3   5.9 352.1'
+'526.3   5.9 352.1'
+0
+'776.0 176.7 -71.9'
+'776.0 176.7 -71.9'
+0
+'581.6 -143.2 -55.9'
+'581.6 -143.2 -55.9'
+0
+'1320.5 -138.3  88.1'
+'1320.5 -138.3  88.1'
+0
+'1516.7 -155.4  88.1'
+'1516.7 -155.4  88.1'
+0
+'1511.0 185.7  88.1'
+'1511.0 185.7  88.1'
+0
+'1562.1 474.6  88.1'
+'1562.1 474.6  88.1'
+0
+'1356.0 539.8  88.1'
+'1356.0 539.8  88.1'
+0
+'561.2 479.4 -55.9'
+'561.2 479.4 -55.9'
+0
+'-631.8 509.5 -55.9'
+'-631.8 509.5 -55.9'
+0
+'-624.8 210.8 -55.9'
+'-624.8 210.8 -55.9'
+0
+'-627.7 666.7 352.1'
+'-627.7 666.7 352.1'
+0
+'-265.2 578.2 352.1'
+'-265.2 578.2 352.1'
+0
+'-242.4   1.6 352.1'
+'-242.4   1.6 352.1'
+0

Modified: branches/nexuiz-2.0/data/maps/reslimed.waypoints.cache
===================================================================
--- branches/nexuiz-2.0/data/maps/reslimed.waypoints.cache	2009-09-23 10:21:50 UTC (rev 7877)
+++ branches/nexuiz-2.0/data/maps/reslimed.waypoints.cache	2009-09-23 10:24:51 UTC (rev 7878)
@@ -1,223 +1,454 @@
+'996.0 -1103.1 160.1'*'984.0 -1112.0 205.0'
+'996.0 -1103.1 160.1'*'576.0 -1120.0 177.0'
+'996.0 -1103.1 160.1'*'1032.0 -672.0 109.0'
+'996.0 -1103.1 160.1'*'1007.8 -665.9  64.1'
+'996.0 -1103.1 160.1'*'512.0 -1120.0 177.0'
+'996.0 -1103.1 160.1'*'448.0 -1120.0 177.0'
+'996.0 -1103.1 160.1'*'115.1 -942.8  64.1'
+'996.0 -1103.1 160.1'*' -5.2 -1100.2 160.1'
+'576.6 -382.7 -55.9'*'576.0 -328.0 -11.0'
+'576.6 -382.7 -55.9'*'464.0 -536.0 -11.0'
+'576.6 -382.7 -55.9'*'612.7 -657.8 -55.9'
+'576.6 -382.7 -55.9'*'224.0 -384.0 -11.0'
+'576.6 -382.7 -55.9'*'576.0 -960.0 -11.0'
+'576.6 -382.7 -55.9'*'-261.1 -401.9 -87.9'
+'576.6 -382.7 -55.9'*'-264.0 -384.0 -43.0'
+'-718.7 -795.2 -55.9'*'-616.0 -784.0 -11.0'
+'-718.7 -795.2 -55.9'*'-1014.7 -791.2 -55.9'
+'-718.7 -795.2 -55.9'*'-693.7 -400.5 -55.9'
+'-718.7 -795.2 -55.9'*'-688.0 -400.0 -11.0'
+'-718.7 -795.2 -55.9'*'-1349.6 -924.1 -55.9'
+'-718.7 -795.2 -55.9'*'-1532.7 -773.0  40.1'
+'-718.7 -795.2 -55.9'*'-1552.0 -808.0  85.0'
+'-718.7 -795.2 -55.9'*'-669.1 -1750.8 -55.9'
+'-718.7 -795.2 -55.9'*'-616.0 -1808.0 -11.0'
+'-491.1 -246.0 397.6'*'-479.5 -563.0 408.1'
+'-491.1 -246.0 397.6'*'-264.0 -384.0 -43.0'
+'-491.1 -246.0 397.6'*'-261.1 -401.9 -87.9'
+'-479.5 -563.0 408.1'*'-491.1 -246.0 397.6'
+'-479.5 -563.0 408.1'*'-84.6 -541.0 400.1'
+'-479.5 -563.0 408.1'*'-261.1 -401.9 -87.9'
+'-26.4 -474.1 400.1'*'-27.1 -283.6 399.0'
+'-26.4 -474.1 400.1'*'-256.0 -384.0 273.0'
+'-26.4 -474.1 400.1'*'102.0 -388.7 160.1'
+'-26.4 -474.1 400.1'*'152.0 -424.0 177.0'
+'-26.4 -474.1 400.1'*'-266.3 -376.7 256.1'
+'-26.4 -474.1 400.1'*'-328.0 -680.0 429.0'
+'-26.4 -474.1 400.1'*'-29.6 -33.3 352.1'
+'-26.4 -474.1 400.1'*'100.4  19.8 160.1'
+'-26.4 -474.1 400.1'*'176.0  48.0 177.0'
+'-26.4 -474.1 400.1'*'-688.0 -400.0 -11.0'
+'-26.4 -474.1 400.1'*'-693.7 -400.5 -55.9'
+'-84.6 -541.0 400.1'*'-256.0 -696.0 429.0'
+'-84.6 -541.0 400.1'*'-27.1 -283.6 399.0'
+'-84.6 -541.0 400.1'*'-328.0 -680.0 429.0'
+'-84.6 -541.0 400.1'*'-479.5 -563.0 408.1'
+'-84.6 -541.0 400.1'*'-242.4   1.6 352.1'
+'-84.6 -541.0 400.1'*'100.4  19.8 160.1'
+'-27.1 -283.6 399.0'*'-26.4 -474.1 400.1'
+'-27.1 -283.6 399.0'*'-29.6 -33.3 352.1'
+'-27.1 -283.6 399.0'*'-256.0 -384.0 273.0'
+'-27.1 -283.6 399.0'*'102.0 -388.7 160.1'
+'-27.1 -283.6 399.0'*'-266.3 -376.7 256.1'
+'-27.1 -283.6 399.0'*'152.0 -424.0 177.0'
+'-27.1 -283.6 399.0'*'100.4  19.8 160.1'
+'-27.1 -283.6 399.0'*'176.0  48.0 177.0'
+'-27.1 -283.6 399.0'*'-688.0 -400.0 -11.0'
+'-27.1 -283.6 399.0'*'-693.7 -400.5 -55.9'
+'-29.6 -33.3 352.1'*'150.2 -22.0 352.1'
+'-29.6 -33.3 352.1'*'-242.4   1.6 352.1'
+'-29.6 -33.3 352.1'*'-27.1 -283.6 399.0'
+'-29.6 -33.3 352.1'*'102.0 -388.7 160.1'
+'-29.6 -33.3 352.1'*'-26.4 -474.1 400.1'
+'-29.6 -33.3 352.1'*'152.0 -424.0 177.0'
+'-29.6 -33.3 352.1'*'526.3   5.9 352.1'
+'-29.6 -33.3 352.1'*'-559.0  20.7 160.1'
+'-29.6 -33.3 352.1'*'115.1 -942.8  64.1'
+'-266.3 -376.7 256.1'*'-256.0 -384.0 273.0'
+'-266.3 -376.7 256.1'*'102.0 -388.7 160.1'
+'-266.3 -376.7 256.1'*'-242.4   1.6 352.1'
+'-266.3 -376.7 256.1'*'152.0 -424.0 177.0'
+'-266.3 -376.7 256.1'*'-688.0 -400.0 -11.0'
+'-266.3 -376.7 256.1'*'-693.7 -400.5 -55.9'
+'-266.3 -376.7 256.1'*'-265.2 578.2 352.1'
+'102.0 -388.7 160.1'*'152.0 -424.0 177.0'
+'102.0 -388.7 160.1'*'-256.0 -384.0 273.0'
+'102.0 -388.7 160.1'*'-266.3 -376.7 256.1'
+'102.0 -388.7 160.1'*'100.4  19.8 160.1'
+'102.0 -388.7 160.1'*'176.0  48.0 177.0'
+'102.0 -388.7 160.1'*'115.1 -942.8  64.1'
+'102.0 -388.7 160.1'*' -5.2 -1100.2 160.1'
+'102.0 -388.7 160.1'*'-688.0 -400.0 -11.0'
+'102.0 -388.7 160.1'*'-693.7 -400.5 -55.9'
+'100.4  19.8 160.1'*'176.0  48.0 177.0'
+'100.4  19.8 160.1'*'102.0 -388.7 160.1'
+'100.4  19.8 160.1'*'152.0 -424.0 177.0'
+'100.4  19.8 160.1'*'-559.0  20.7 160.1'
+'100.4  19.8 160.1'*'115.1 -942.8  64.1'
+'-1532.7 -773.0  40.1'*'-1552.0 -808.0  85.0'
+'-1532.7 -773.0  40.1'*'-1349.6 -924.1 -55.9'
+'-1532.7 -773.0  40.1'*'-1481.3 -338.1 160.1'
+'-1532.7 -773.0  40.1'*'-1014.7 -791.2 -55.9'
+'-1532.7 -773.0  40.1'*'-1696.0 -232.0 185.0'
+'-1532.7 -773.0  40.1'*'-1696.0 -36.0 205.0'
+'-1532.7 -773.0  40.1'*'-718.7 -795.2 -55.9'
+'-1532.7 -773.0  40.1'*'-616.0 -784.0 -11.0'
+'-1532.7 -773.0  40.1'*'-1656.0 144.0 185.0'
+'-1348.8 -1684.0 -55.9'*'-1392.0 -1816.0 -11.0'
+'-1348.8 -1684.0 -55.9'*'-1028.0 -1724.0 -11.0'
+'-1348.8 -1684.0 -55.9'*'-1347.6 -1281.3 -55.9'
+'-1348.8 -1684.0 -55.9'*'-669.1 -1750.8 -55.9'
+'-1348.8 -1684.0 -55.9'*'-616.0 -1808.0 -11.0'
+'-1348.8 -1684.0 -55.9'*'-1349.6 -924.1 -55.9'
+'-669.1 -1750.8 -55.9'*'-616.0 -1808.0 -11.0'
+'-669.1 -1750.8 -55.9'*'-1028.0 -1724.0 -11.0'
+'-669.1 -1750.8 -55.9'*'-1348.8 -1684.0 -55.9'
+'-669.1 -1750.8 -55.9'*'-1392.0 -1816.0 -11.0'
+'-669.1 -1750.8 -55.9'*'-718.7 -795.2 -55.9'
+'-669.1 -1750.8 -55.9'*'-616.0 -784.0 -11.0'
+'-693.7 -400.5 -55.9'*'-688.0 -400.0 -11.0'
+'-693.7 -400.5 -55.9'*'-616.0 -784.0 -11.0'
+'-693.7 -400.5 -55.9'*'-718.7 -795.2 -55.9'
+'-693.7 -400.5 -55.9'*'-264.0 -384.0 -43.0'
+'-693.7 -400.5 -55.9'*'-261.1 -401.9 -87.9'
+'-693.7 -400.5 -55.9'*'224.0 -384.0 -11.0'
+'-261.1 -401.9 -87.9'*'-264.0 -384.0 -43.0'
+'-261.1 -401.9 -87.9'*'-688.0 -400.0 -11.0'
+'-261.1 -401.9 -87.9'*'-693.7 -400.5 -55.9'
+'-261.1 -401.9 -87.9'*'224.0 -384.0 -11.0'
+'-261.1 -401.9 -87.9'*'-203.2 199.5 -55.9'
+'-261.1 -401.9 -87.9'*'-256.0 240.0 -11.0'
+'-261.1 -401.9 -87.9'*'576.6 -382.7 -55.9'
+'-261.1 -401.9 -87.9'*'576.0 -328.0 -11.0'
+'-203.2 199.5 -55.9'*'-256.0 240.0 -11.0'
+'-203.2 199.5 -55.9'*'-624.8 210.8 -55.9'
+'-203.2 199.5 -55.9'*'-264.0 -384.0 -43.0'
+'-203.2 199.5 -55.9'*'-261.1 -401.9 -87.9'
+'-203.2 199.5 -55.9'*'561.2 479.4 -55.9'
+'612.7 -657.8 -55.9'*'464.0 -536.0 -11.0'
+'612.7 -657.8 -55.9'*'576.6 -382.7 -55.9'
+'612.7 -657.8 -55.9'*'576.0 -960.0 -11.0'
+'612.7 -657.8 -55.9'*'576.0 -328.0 -11.0'
+'612.7 -657.8 -55.9'*'1007.8 -665.9  64.1'
+'612.7 -657.8 -55.9'*'1032.0 -672.0 109.0'
+'1007.8 -665.9  64.1'*'1032.0 -672.0 109.0'
+'1007.8 -665.9  64.1'*'612.7 -657.8 -55.9'
+'1007.8 -665.9  64.1'*'996.0 -1103.1 160.1'
+'1007.8 -665.9  64.1'*'984.0 -1112.0 205.0'
+'1007.8 -665.9  64.1'*'224.0 -384.0 -11.0'
+'-1159.6 -275.4 160.1'*'-1481.3 -338.1 160.1'
 '-1159.6 -275.4 160.1'*'-814.9 -178.7 160.1'
-'-1159.6 -275.4 160.1'*'-1481.3 -338.1 160.1'
+'-1159.6 -275.4 160.1'*'-1696.0 -232.0 185.0'
 '-1159.6 -275.4 160.1'*'-559.0  20.7 160.1'
-'-1159.6 -275.4 160.1'*'-1696.0 -232.0 185.0'
+'-1014.7 -791.2 -55.9'*'-718.7 -795.2 -55.9'
 '-1014.7 -791.2 -55.9'*'-1349.6 -924.1 -55.9'
+'-1014.7 -791.2 -55.9'*'-616.0 -784.0 -11.0'
+'-1014.7 -791.2 -55.9'*'-1532.7 -773.0  40.1'
 '-1014.7 -791.2 -55.9'*'-1552.0 -808.0  85.0'
-'-1014.7 -791.2 -55.9'*'-616.0 -784.0 -11.0'
+'-559.0  20.7 160.1'*'-814.9 -178.7 160.1'
+'-559.0  20.7 160.1'*'100.4  19.8 160.1'
 '-559.0  20.7 160.1'*'-1159.6 -275.4 160.1'
-'-559.0  20.7 160.1'*'-814.9 -178.7 160.1'
 '-559.0  20.7 160.1'*'176.0  48.0 177.0'
+'-814.9 -178.7 160.1'*'-559.0  20.7 160.1'
 '-814.9 -178.7 160.1'*'-1159.6 -275.4 160.1'
-'-814.9 -178.7 160.1'*'-559.0  20.7 160.1'
 '-814.9 -178.7 160.1'*'-1481.3 -338.1 160.1'
+'-1481.3 -338.1 160.1'*'-1696.0 -232.0 185.0'
+'-1481.3 -338.1 160.1'*'-1159.6 -275.4 160.1'
 '-1481.3 -338.1 160.1'*'-1696.0 -36.0 205.0'
+'-1481.3 -338.1 160.1'*'-1532.7 -773.0  40.1'
 '-1481.3 -338.1 160.1'*'-1552.0 -808.0  85.0'
-'-1481.3 -338.1 160.1'*'-1159.6 -275.4 160.1'
-'-1481.3 -338.1 160.1'*'-1696.0 -232.0 185.0'
+'-1481.3 -338.1 160.1'*'-1656.0 144.0 185.0'
+'-1481.3 -338.1 160.1'*'-814.9 -178.7 160.1'
 '-1481.3 -338.1 160.1'*'-1347.6 -1281.3 -55.9'
-'-1481.3 -338.1 160.1'*'-814.9 -178.7 160.1'
-'-1481.3 -338.1 160.1'*'-1656.0 144.0 185.0'
+'-1349.6 -924.1 -55.9'*'-1347.6 -1281.3 -55.9'
 '-1349.6 -924.1 -55.9'*'-1014.7 -791.2 -55.9'
-'-1349.6 -924.1 -55.9'*'-1347.6 -1281.3 -55.9'
+'-1349.6 -924.1 -55.9'*'-718.7 -795.2 -55.9'
+'-1349.6 -924.1 -55.9'*'-616.0 -784.0 -11.0'
+'-1349.6 -924.1 -55.9'*'-1348.8 -1684.0 -55.9'
 '-1349.6 -924.1 -55.9'*'-1392.0 -1816.0 -11.0'
-'-1349.6 -924.1 -55.9'*'-616.0 -784.0 -11.0'
 '-1347.6 -1281.3 -55.9'*'-1349.6 -924.1 -55.9'
+'-1347.6 -1281.3 -55.9'*'-1348.8 -1684.0 -55.9'
 '-1347.6 -1281.3 -55.9'*'-1392.0 -1816.0 -11.0'
+'115.1 -942.8  64.1'*'152.0 -424.0 177.0'
+'115.1 -942.8  64.1'*'102.0 -388.7 160.1'
+'115.1 -942.8  64.1'*'612.7 -657.8 -55.9'
+'115.1 -942.8  64.1'*'1007.8 -665.9  64.1'
+'115.1 -942.8  64.1'*'1032.0 -672.0 109.0'
+'115.1 -942.8  64.1'*'100.4  19.8 160.1'
 '115.1 -942.8  64.1'*'176.0  48.0 177.0'
-'115.1 -942.8  64.1'*'1032.0 -672.0 109.0'
-'115.1 -942.8  64.1'*'152.0 -424.0 177.0'
 ' -5.2 -1100.2 160.1'*'-16.0 -960.0 177.0'
+' -5.2 -1100.2 160.1'*'-16.0 -896.0 177.0'
 ' -5.2 -1100.2 160.1'*'115.1 -942.8  64.1'
-' -5.2 -1100.2 160.1'*'-16.0 -896.0 177.0'
+' -5.2 -1100.2 160.1'*'-16.0 -832.0 177.0'
+' -5.2 -1100.2 160.1'*'448.0 -1120.0 177.0'
+' -5.2 -1100.2 160.1'*'512.0 -1120.0 177.0'
 ' -5.2 -1100.2 160.1'*'576.0 -1120.0 177.0'
-' -5.2 -1100.2 160.1'*'512.0 -1120.0 177.0'
-' -5.2 -1100.2 160.1'*'448.0 -1120.0 177.0'
-' -5.2 -1100.2 160.1'*'-16.0 -832.0 177.0'
+' -5.2 -1100.2 160.1'*'152.0 -424.0 177.0'
+' -5.2 -1100.2 160.1'*'102.0 -388.7 160.1'
+' -5.2 -1100.2 160.1'*'612.7 -657.8 -55.9'
 ' -5.2 -1100.2 160.1'*'984.0 -1112.0 205.0'
-' -5.2 -1100.2 160.1'*'152.0 -424.0 177.0'
+' -5.2 -1100.2 160.1'*'996.0 -1103.1 160.1'
+'150.2 -22.0 352.1'*'-29.6 -33.3 352.1'
 '150.2 -22.0 352.1'*'526.3   5.9 352.1'
 '150.2 -22.0 352.1'*'-242.4   1.6 352.1'
+'150.2 -22.0 352.1'*'102.0 -388.7 160.1'
+'150.2 -22.0 352.1'*'152.0 -424.0 177.0'
+'150.2 -22.0 352.1'*'-559.0  20.7 160.1'
 '150.2 -22.0 352.1'*'115.1 -942.8  64.1'
-'150.2 -22.0 352.1'*'-559.0  20.7 160.1'
-'150.2 -22.0 352.1'*'152.0 -424.0 177.0'
+'526.3   5.9 352.1'*'524.0 380.0 397.0'
+'526.3   5.9 352.1'*'150.2 -22.0 352.1'
+'526.3   5.9 352.1'*'-29.6 -33.3 352.1'
 '526.3   5.9 352.1'*'-242.4   1.6 352.1'
+'526.3   5.9 352.1'*'1320.5 -138.3  88.1'
 '526.3   5.9 352.1'*'1516.7 -155.4  88.1'
-'526.3   5.9 352.1'*'1320.5 -138.3  88.1'
-'526.3   5.9 352.1'*'150.2 -22.0 352.1'
-'526.3   5.9 352.1'*'524.0 380.0 397.0'
 '776.0 176.7 -71.9'*'581.6 -143.2 -55.9'
 '581.6 -143.2 -55.9'*'776.0 176.7 -71.9'
+'581.6 -143.2 -55.9'*'561.2 479.4 -55.9'
+'581.6 -143.2 -55.9'*'1320.5 -138.3  88.1'
 '581.6 -143.2 -55.9'*'1516.7 -155.4  88.1'
-'581.6 -143.2 -55.9'*'1320.5 -138.3  88.1'
-'581.6 -143.2 -55.9'*'561.2 479.4 -55.9'
 '1320.5 -138.3  88.1'*'1516.7 -155.4  88.1'
+'1320.5 -138.3  88.1'*'1216.0  40.0 121.0'
 '1320.5 -138.3  88.1'*'581.6 -143.2 -55.9'
-'1320.5 -138.3  88.1'*'1216.0  40.0 121.0'
 '1516.7 -155.4  88.1'*'1320.5 -138.3  88.1'
 '1516.7 -155.4  88.1'*'1511.0 185.7  88.1'
+'1516.7 -155.4  88.1'*'1562.1 474.6  88.1'
 '1516.7 -155.4  88.1'*'581.6 -143.2 -55.9'
-'1516.7 -155.4  88.1'*'1562.1 474.6  88.1'
 '1511.0 185.7  88.1'*'1562.1 474.6  88.1'
+'1511.0 185.7  88.1'*'1216.0 312.0 121.0'
 '1511.0 185.7  88.1'*'1516.7 -155.4  88.1'
 '1511.0 185.7  88.1'*'776.0 176.7 -71.9'
-'1511.0 185.7  88.1'*'1216.0 312.0 121.0'
+'1562.1 474.6  88.1'*'1356.0 539.8  88.1'
 '1562.1 474.6  88.1'*'1511.0 185.7  88.1'
 '1562.1 474.6  88.1'*'1516.7 -155.4  88.1'
-'1562.1 474.6  88.1'*'1356.0 539.8  88.1'
 '1562.1 474.6  88.1'*'561.2 479.4 -55.9'
 '1356.0 539.8  88.1'*'1562.1 474.6  88.1'
 '1356.0 539.8  88.1'*'561.2 479.4 -55.9'
-'-328.0 -680.0 429.0'*'-256.0 -696.0 429.0'
-'-328.0 -680.0 429.0'*'-242.4   1.6 352.1'
-'-328.0 -680.0 429.0'*'-256.0 -384.0 273.0'
 '561.2 479.4 -55.9'*'581.6 -143.2 -55.9'
 '561.2 479.4 -55.9'*'1356.0 539.8  88.1'
+'561.2 479.4 -55.9'*'-203.2 199.5 -55.9'
+'561.2 479.4 -55.9'*'-256.0 240.0 -11.0'
 '561.2 479.4 -55.9'*'1562.1 474.6  88.1'
-'561.2 479.4 -55.9'*'-256.0 240.0 -11.0'
 '-631.8 509.5 -55.9'*'-624.8 210.8 -55.9'
+'-624.8 210.8 -55.9'*'-631.8 509.5 -55.9'
+'-624.8 210.8 -55.9'*'-256.0 240.0 -11.0'
+'-624.8 210.8 -55.9'*'-203.2 199.5 -55.9'
+'-627.7 666.7 352.1'*'-976.0 576.0 369.0'
+'-627.7 666.7 352.1'*'-265.2 578.2 352.1'
+'-627.7 666.7 352.1'*'-624.8 210.8 -55.9'
+'-328.0 -680.0 429.0'*'-256.0 -696.0 429.0'
+'-328.0 -680.0 429.0'*'-84.6 -541.0 400.1'
+'-328.0 -680.0 429.0'*'-256.0 -384.0 273.0'
+'-328.0 -680.0 429.0'*'-266.3 -376.7 256.1'
+'-328.0 -680.0 429.0'*'-26.4 -474.1 400.1'
+'-328.0 -680.0 429.0'*'102.0 -388.7 160.1'
+'-328.0 -680.0 429.0'*'-242.4   1.6 352.1'
+'-265.2 578.2 352.1'*'-627.7 666.7 352.1'
+'-265.2 578.2 352.1'*'-242.4   1.6 352.1'
+'-265.2 578.2 352.1'*'-976.0 576.0 369.0'
+'-265.2 578.2 352.1'*'-266.3 -376.7 256.1'
+'-265.2 578.2 352.1'*'-256.0 -384.0 273.0'
+'-242.4   1.6 352.1'*'-29.6 -33.3 352.1'
+'-242.4   1.6 352.1'*'-559.0  20.7 160.1'
+'-242.4   1.6 352.1'*'-266.3 -376.7 256.1'
+'-242.4   1.6 352.1'*'150.2 -22.0 352.1'
+'-242.4   1.6 352.1'*'-256.0 -384.0 273.0'
+'-242.4   1.6 352.1'*'-265.2 578.2 352.1'
+'-242.4   1.6 352.1'*'526.3   5.9 352.1'
+'-616.0 -1808.0 -11.0'*'-669.1 -1750.8 -55.9'
+'-616.0 -1808.0 -11.0'*'-1028.0 -1724.0 -11.0'
+'-616.0 -1808.0 -11.0'*'-1348.8 -1684.0 -55.9'
 '-616.0 -1808.0 -11.0'*'-1392.0 -1816.0 -11.0'
-'-616.0 -1808.0 -11.0'*'-1028.0 -1724.0 -11.0'
+'-616.0 -1808.0 -11.0'*'-718.7 -795.2 -55.9'
 '-616.0 -1808.0 -11.0'*'-616.0 -784.0 -11.0'
+'-1392.0 -1816.0 -11.0'*'-1348.8 -1684.0 -55.9'
+'-1392.0 -1816.0 -11.0'*'-1028.0 -1724.0 -11.0'
+'-1392.0 -1816.0 -11.0'*'-1347.6 -1281.3 -55.9'
+'-1392.0 -1816.0 -11.0'*'-669.1 -1750.8 -55.9'
 '-1392.0 -1816.0 -11.0'*'-616.0 -1808.0 -11.0'
-'-1392.0 -1816.0 -11.0'*'-1347.6 -1281.3 -55.9'
 '-1392.0 -1816.0 -11.0'*'-1349.6 -924.1 -55.9'
-'-1392.0 -1816.0 -11.0'*'-1028.0 -1724.0 -11.0'
+'-1552.0 -808.0  85.0'*'-1532.7 -773.0  40.1'
+'-1552.0 -808.0  85.0'*'-1349.6 -924.1 -55.9'
 '-1552.0 -808.0  85.0'*'-1481.3 -338.1 160.1'
 '-1552.0 -808.0  85.0'*'-1014.7 -791.2 -55.9'
-'-1552.0 -808.0  85.0'*'-1349.6 -924.1 -55.9'
 '-1552.0 -808.0  85.0'*'-1696.0 -36.0 205.0'
+'-1552.0 -808.0  85.0'*'-718.7 -795.2 -55.9'
+'-1552.0 -808.0  85.0'*'-616.0 -784.0 -11.0'
 '-1552.0 -808.0  85.0'*'-1656.0 144.0 185.0'
-'-1552.0 -808.0  85.0'*'-616.0 -784.0 -11.0'
 '448.0 -1120.0 177.0'*'512.0 -1120.0 177.0'
 '448.0 -1120.0 177.0'*'576.0 -1120.0 177.0'
+'448.0 -1120.0 177.0'*'576.0 -960.0 -11.0'
+'448.0 -1120.0 177.0'*'115.1 -942.8  64.1'
+'448.0 -1120.0 177.0'*' -5.2 -1100.2 160.1'
 '448.0 -1120.0 177.0'*'984.0 -1112.0 205.0'
-'448.0 -1120.0 177.0'*' -5.2 -1100.2 160.1'
-'448.0 -1120.0 177.0'*'115.1 -942.8  64.1'
-'448.0 -1120.0 177.0'*'576.0 -960.0 -11.0'
+'448.0 -1120.0 177.0'*'612.7 -657.8 -55.9'
+'448.0 -1120.0 177.0'*'996.0 -1103.1 160.1'
+'448.0 -1120.0 177.0'*'464.0 -536.0 -11.0'
+'448.0 -1120.0 177.0'*'576.6 -382.7 -55.9'
 '448.0 -1120.0 177.0'*'576.0 -328.0 -11.0'
-'448.0 -1120.0 177.0'*'464.0 -536.0 -11.0'
 '512.0 -1120.0 177.0'*'448.0 -1120.0 177.0'
 '512.0 -1120.0 177.0'*'576.0 -1120.0 177.0'
+'512.0 -1120.0 177.0'*'576.0 -960.0 -11.0'
+'512.0 -1120.0 177.0'*'115.1 -942.8  64.1'
 '512.0 -1120.0 177.0'*'984.0 -1112.0 205.0'
+'512.0 -1120.0 177.0'*'996.0 -1103.1 160.1'
 '512.0 -1120.0 177.0'*' -5.2 -1100.2 160.1'
-'512.0 -1120.0 177.0'*'115.1 -942.8  64.1'
-'512.0 -1120.0 177.0'*'576.0 -960.0 -11.0'
+'512.0 -1120.0 177.0'*'612.7 -657.8 -55.9'
+'512.0 -1120.0 177.0'*'464.0 -536.0 -11.0'
+'512.0 -1120.0 177.0'*'576.6 -382.7 -55.9'
 '512.0 -1120.0 177.0'*'576.0 -328.0 -11.0'
-'512.0 -1120.0 177.0'*'464.0 -536.0 -11.0'
+'576.0 -1120.0 177.0'*'512.0 -1120.0 177.0'
 '576.0 -1120.0 177.0'*'448.0 -1120.0 177.0'
-'576.0 -1120.0 177.0'*'512.0 -1120.0 177.0'
+'576.0 -1120.0 177.0'*'576.0 -960.0 -11.0'
 '576.0 -1120.0 177.0'*'984.0 -1112.0 205.0'
+'576.0 -1120.0 177.0'*'996.0 -1103.1 160.1'
+'576.0 -1120.0 177.0'*'115.1 -942.8  64.1'
+'576.0 -1120.0 177.0'*'612.7 -657.8 -55.9'
 '576.0 -1120.0 177.0'*' -5.2 -1100.2 160.1'
-'576.0 -1120.0 177.0'*'115.1 -942.8  64.1'
-'576.0 -1120.0 177.0'*'576.0 -960.0 -11.0'
+'576.0 -1120.0 177.0'*'464.0 -536.0 -11.0'
+'576.0 -1120.0 177.0'*'576.6 -382.7 -55.9'
 '576.0 -1120.0 177.0'*'576.0 -328.0 -11.0'
-'576.0 -1120.0 177.0'*'464.0 -536.0 -11.0'
+'984.0 -1112.0 205.0'*'996.0 -1103.1 160.1'
 '984.0 -1112.0 205.0'*'576.0 -1120.0 177.0'
+'984.0 -1112.0 205.0'*'1032.0 -672.0 109.0'
+'984.0 -1112.0 205.0'*'1007.8 -665.9  64.1'
 '984.0 -1112.0 205.0'*'512.0 -1120.0 177.0'
 '984.0 -1112.0 205.0'*'448.0 -1120.0 177.0'
+'984.0 -1112.0 205.0'*'115.1 -942.8  64.1'
 '984.0 -1112.0 205.0'*' -5.2 -1100.2 160.1'
-'984.0 -1112.0 205.0'*'115.1 -942.8  64.1'
-'984.0 -1112.0 205.0'*'1032.0 -672.0 109.0'
+'-1028.0 -1724.0 -11.0'*'-1348.8 -1684.0 -55.9'
+'-1028.0 -1724.0 -11.0'*'-669.1 -1750.8 -55.9'
+'-1028.0 -1724.0 -11.0'*'-1392.0 -1816.0 -11.0'
 '-1028.0 -1724.0 -11.0'*'-616.0 -1808.0 -11.0'
-'-1028.0 -1724.0 -11.0'*'-1392.0 -1816.0 -11.0'
-'-624.8 210.8 -55.9'*'-631.8 509.5 -55.9'
-'-624.8 210.8 -55.9'*'-256.0 240.0 -11.0'
-'-627.7 666.7 352.1'*'-265.2 578.2 352.1'
-'-627.7 666.7 352.1'*'-624.8 210.8 -55.9'
-'-627.7 666.7 352.1'*'-976.0 576.0 369.0'
 '-640.0 932.0  81.0'*'-631.8 509.5 -55.9'
 '-640.0 932.0  81.0'*'-624.8 210.8 -55.9'
 '1216.0  40.0 121.0'*'1320.5 -138.3  88.1'
 '1216.0  40.0 121.0'*'1216.0 312.0 121.0'
 '-16.0 -960.0 177.0'*'-16.0 -896.0 177.0'
+'-16.0 -960.0 177.0'*'-16.0 -832.0 177.0'
 '-16.0 -960.0 177.0'*' -5.2 -1100.2 160.1'
 '-16.0 -960.0 177.0'*'115.1 -942.8  64.1'
-'-16.0 -960.0 177.0'*'-16.0 -832.0 177.0'
 '-16.0 -960.0 177.0'*'152.0 -424.0 177.0'
+'-16.0 -960.0 177.0'*'612.7 -657.8 -55.9'
 '-16.0 -896.0 177.0'*'-16.0 -960.0 177.0'
+'-16.0 -896.0 177.0'*'-16.0 -832.0 177.0'
+'-16.0 -896.0 177.0'*'115.1 -942.8  64.1'
 '-16.0 -896.0 177.0'*' -5.2 -1100.2 160.1'
-'-16.0 -896.0 177.0'*'115.1 -942.8  64.1'
-'-16.0 -896.0 177.0'*'-16.0 -832.0 177.0'
 '-16.0 -896.0 177.0'*'152.0 -424.0 177.0'
+'-16.0 -896.0 177.0'*'612.7 -657.8 -55.9'
+'-16.0 -896.0 177.0'*'1007.8 -665.9  64.1'
+'-16.0 -832.0 177.0'*'-16.0 -896.0 177.0'
 '-16.0 -832.0 177.0'*'-16.0 -960.0 177.0'
+'-16.0 -832.0 177.0'*'115.1 -942.8  64.1'
 '-16.0 -832.0 177.0'*' -5.2 -1100.2 160.1'
-'-16.0 -832.0 177.0'*'115.1 -942.8  64.1'
-'-16.0 -832.0 177.0'*'-16.0 -896.0 177.0'
+'-16.0 -832.0 177.0'*'612.7 -657.8 -55.9'
+'-16.0 -832.0 177.0'*'1007.8 -665.9  64.1'
+'1032.0 -672.0 109.0'*'1007.8 -665.9  64.1'
+'1032.0 -672.0 109.0'*'996.0 -1103.1 160.1'
+'1032.0 -672.0 109.0'*'612.7 -657.8 -55.9'
+'1032.0 -672.0 109.0'*'984.0 -1112.0 205.0'
 '1032.0 -672.0 109.0'*'115.1 -942.8  64.1'
-'1032.0 -672.0 109.0'*'984.0 -1112.0 205.0'
+'176.0  48.0 177.0'*'100.4  19.8 160.1'
+'176.0  48.0 177.0'*'102.0 -388.7 160.1'
+'176.0  48.0 177.0'*'152.0 -424.0 177.0'
+'176.0  48.0 177.0'*'-559.0  20.7 160.1'
 '176.0  48.0 177.0'*'115.1 -942.8  64.1'
-'176.0  48.0 177.0'*'-559.0  20.7 160.1'
-'176.0  48.0 177.0'*'152.0 -424.0 177.0'
+'576.0 -328.0 -11.0'*'576.6 -382.7 -55.9'
+'576.0 -328.0 -11.0'*'464.0 -536.0 -11.0'
+'576.0 -328.0 -11.0'*'612.7 -657.8 -55.9'
+'576.0 -328.0 -11.0'*'224.0 -384.0 -11.0'
 '576.0 -328.0 -11.0'*'576.0 -960.0 -11.0'
-'576.0 -328.0 -11.0'*'224.0 -384.0 -11.0'
-'576.0 -328.0 -11.0'*'464.0 -536.0 -11.0'
 '576.0 -328.0 -11.0'*'-264.0 -384.0 -43.0'
+'576.0 -328.0 -11.0'*'-261.1 -401.9 -87.9'
+'1216.0 312.0 121.0'*'1216.0  40.0 121.0'
 '1216.0 312.0 121.0'*'1511.0 185.7  88.1'
 '1216.0 312.0 121.0'*'581.6 -143.2 -55.9'
-'1216.0 312.0 121.0'*'1216.0  40.0 121.0'
+'-1696.0 -36.0 205.0'*'-1656.0 144.0 185.0'
 '-1696.0 -36.0 205.0'*'-1696.0 -232.0 185.0'
+'-1696.0 -36.0 205.0'*'-1481.3 -338.1 160.1'
+'-1696.0 -36.0 205.0'*'-1532.7 -773.0  40.1'
 '-1696.0 -36.0 205.0'*'-1552.0 -808.0  85.0'
 '-1696.0 -36.0 205.0'*'-1349.6 -924.1 -55.9'
-'-1696.0 -36.0 205.0'*'-1481.3 -338.1 160.1'
-'-1696.0 -36.0 205.0'*'-1656.0 144.0 185.0'
 '-256.0 -696.0 429.0'*'-328.0 -680.0 429.0'
+'-256.0 -696.0 429.0'*'-84.6 -541.0 400.1'
+'-256.0 -696.0 429.0'*'-256.0 -384.0 273.0'
+'-256.0 -696.0 429.0'*'-266.3 -376.7 256.1'
 '-256.0 -696.0 429.0'*'-242.4   1.6 352.1'
-'-256.0 -696.0 429.0'*'-256.0 -384.0 273.0'
-'152.0 -424.0 177.0'*'-688.0 -400.0 -11.0'
+'152.0 -424.0 177.0'*'102.0 -388.7 160.1'
+'152.0 -424.0 177.0'*'-256.0 -384.0 273.0'
+'152.0 -424.0 177.0'*'-266.3 -376.7 256.1'
+'152.0 -424.0 177.0'*'100.4  19.8 160.1'
 '152.0 -424.0 177.0'*'176.0  48.0 177.0'
 '152.0 -424.0 177.0'*'-16.0 -896.0 177.0'
+'152.0 -424.0 177.0'*'115.1 -942.8  64.1'
 '152.0 -424.0 177.0'*'-16.0 -960.0 177.0'
-'152.0 -424.0 177.0'*'115.1 -942.8  64.1'
-'152.0 -424.0 177.0'*'-256.0 -384.0 273.0'
+'152.0 -424.0 177.0'*'-688.0 -400.0 -11.0'
+'152.0 -424.0 177.0'*'-693.7 -400.5 -55.9'
+'224.0 -384.0 -11.0'*'576.6 -382.7 -55.9'
 '224.0 -384.0 -11.0'*'576.0 -328.0 -11.0'
+'224.0 -384.0 -11.0'*'-264.0 -384.0 -43.0'
+'224.0 -384.0 -11.0'*'-261.1 -401.9 -87.9'
+'224.0 -384.0 -11.0'*'1007.8 -665.9  64.1'
+'224.0 -384.0 -11.0'*'1032.0 -672.0 109.0'
 '224.0 -384.0 -11.0'*'-688.0 -400.0 -11.0'
-'224.0 -384.0 -11.0'*'1032.0 -672.0 109.0'
-'224.0 -384.0 -11.0'*'-264.0 -384.0 -43.0'
+'224.0 -384.0 -11.0'*'-693.7 -400.5 -55.9'
+'-688.0 -400.0 -11.0'*'-693.7 -400.5 -55.9'
 '-688.0 -400.0 -11.0'*'-616.0 -784.0 -11.0'
+'-688.0 -400.0 -11.0'*'-718.7 -795.2 -55.9'
 '-688.0 -400.0 -11.0'*'-264.0 -384.0 -43.0'
+'-688.0 -400.0 -11.0'*'-261.1 -401.9 -87.9'
 '524.0 380.0 397.0'*'526.3   5.9 352.1'
 '524.0 380.0 397.0'*'1356.0 539.8  88.1'
+'576.0 -960.0 -11.0'*'612.7 -657.8 -55.9'
+'576.0 -960.0 -11.0'*'464.0 -536.0 -11.0'
+'576.0 -960.0 -11.0'*'115.1 -942.8  64.1'
+'576.0 -960.0 -11.0'*'576.6 -382.7 -55.9'
 '576.0 -960.0 -11.0'*'576.0 -328.0 -11.0'
-'576.0 -960.0 -11.0'*'115.1 -942.8  64.1'
-'576.0 -960.0 -11.0'*'464.0 -536.0 -11.0'
+'-1696.0 -232.0 185.0'*'-1696.0 -36.0 205.0'
 '-1696.0 -232.0 185.0'*'-1481.3 -338.1 160.1'
-'-1696.0 -232.0 185.0'*'-1696.0 -36.0 205.0'
+'-1696.0 -232.0 185.0'*'-1656.0 144.0 185.0'
+'-1696.0 -232.0 185.0'*'-1159.6 -275.4 160.1'
+'-1696.0 -232.0 185.0'*'-1532.7 -773.0  40.1'
 '-1696.0 -232.0 185.0'*'-1552.0 -808.0  85.0'
 '-1696.0 -232.0 185.0'*'-1349.6 -924.1 -55.9'
-'-1696.0 -232.0 185.0'*'-1159.6 -275.4 160.1'
-'-1696.0 -232.0 185.0'*'-1656.0 144.0 185.0'
 '-1656.0 144.0 185.0'*'-1696.0 -36.0 205.0'
+'-1656.0 144.0 185.0'*'-1696.0 -232.0 185.0'
+'-1656.0 144.0 185.0'*'-1481.3 -338.1 160.1'
+'-1656.0 144.0 185.0'*'-1532.7 -773.0  40.1'
 '-1656.0 144.0 185.0'*'-1552.0 -808.0  85.0'
-'-1656.0 144.0 185.0'*'-1481.3 -338.1 160.1'
-'-1656.0 144.0 185.0'*'-1696.0 -232.0 185.0'
+'-256.0 240.0 -11.0'*'-203.2 199.5 -55.9'
 '-256.0 240.0 -11.0'*'-624.8 210.8 -55.9'
+'-256.0 240.0 -11.0'*'-264.0 -384.0 -43.0'
+'-256.0 240.0 -11.0'*'-261.1 -401.9 -87.9'
 '-256.0 240.0 -11.0'*'561.2 479.4 -55.9'
-'-256.0 240.0 -11.0'*'-264.0 -384.0 -43.0'
+'464.0 -536.0 -11.0'*'576.6 -382.7 -55.9'
+'464.0 -536.0 -11.0'*'612.7 -657.8 -55.9'
 '464.0 -536.0 -11.0'*'576.0 -328.0 -11.0'
+'464.0 -536.0 -11.0'*'576.0 -960.0 -11.0'
+'464.0 -536.0 -11.0'*'1007.8 -665.9  64.1'
 '464.0 -536.0 -11.0'*'1032.0 -672.0 109.0'
-'464.0 -536.0 -11.0'*'576.0 -960.0 -11.0'
+'-616.0 -784.0 -11.0'*'-718.7 -795.2 -55.9'
+'-616.0 -784.0 -11.0'*'-688.0 -400.0 -11.0'
+'-616.0 -784.0 -11.0'*'-693.7 -400.5 -55.9'
+'-616.0 -784.0 -11.0'*'-1014.7 -791.2 -55.9'
+'-616.0 -784.0 -11.0'*'-1349.6 -924.1 -55.9'
+'-616.0 -784.0 -11.0'*'-1532.7 -773.0  40.1'
 '-616.0 -784.0 -11.0'*'-1552.0 -808.0  85.0'
+'-616.0 -784.0 -11.0'*'-669.1 -1750.8 -55.9'
 '-616.0 -784.0 -11.0'*'-616.0 -1808.0 -11.0'
-'-616.0 -784.0 -11.0'*'-1349.6 -924.1 -55.9'
-'-616.0 -784.0 -11.0'*'-1014.7 -791.2 -55.9'
-'-616.0 -784.0 -11.0'*'-688.0 -400.0 -11.0'
 '-976.0 576.0 369.0'*'-627.7 666.7 352.1'
 '-976.0 576.0 369.0'*'-265.2 578.2 352.1'
+'-264.0 -384.0 -43.0'*'-261.1 -401.9 -87.9'
+'-264.0 -384.0 -43.0'*'-688.0 -400.0 -11.0'
+'-264.0 -384.0 -43.0'*'-693.7 -400.5 -55.9'
 '-264.0 -384.0 -43.0'*'224.0 -384.0 -11.0'
-'-264.0 -384.0 -43.0'*'-688.0 -400.0 -11.0'
+'-264.0 -384.0 -43.0'*'-203.2 199.5 -55.9'
+'-264.0 -384.0 -43.0'*'-256.0 240.0 -11.0'
+'-264.0 -384.0 -43.0'*'576.6 -382.7 -55.9'
 '-264.0 -384.0 -43.0'*'576.0 -328.0 -11.0'
-'-264.0 -384.0 -43.0'*'-256.0 240.0 -11.0'
+'-256.0 -384.0 273.0'*'-266.3 -376.7 256.1'
+'-256.0 -384.0 273.0'*'102.0 -388.7 160.1'
+'-256.0 -384.0 273.0'*'-242.4   1.6 352.1'
+'-256.0 -384.0 273.0'*'152.0 -424.0 177.0'
+'-256.0 -384.0 273.0'*'-688.0 -400.0 -11.0'
+'-256.0 -384.0 273.0'*'-693.7 -400.5 -55.9'
 '-256.0 -384.0 273.0'*'-265.2 578.2 352.1'
-'-256.0 -384.0 273.0'*'-688.0 -400.0 -11.0'
-'-256.0 -384.0 273.0'*'152.0 -424.0 177.0'
-'-256.0 -384.0 273.0'*'-242.4   1.6 352.1'
-'-265.2 578.2 352.1'*'-627.7 666.7 352.1'
-'-265.2 578.2 352.1'*'-242.4   1.6 352.1'
-'-265.2 578.2 352.1'*'-976.0 576.0 369.0'
-'-265.2 578.2 352.1'*'-256.0 -384.0 273.0'
-'-242.4   1.6 352.1'*'-559.0  20.7 160.1'
-'-242.4   1.6 352.1'*'150.2 -22.0 352.1'
-'-242.4   1.6 352.1'*'-265.2 578.2 352.1'
-'-242.4   1.6 352.1'*'526.3   5.9 352.1'
-'-242.4   1.6 352.1'*'-256.0 -384.0 273.0'

Modified: branches/nexuiz-2.0/data/qcsrc/server/bots.qc
===================================================================
--- branches/nexuiz-2.0/data/qcsrc/server/bots.qc	2009-09-23 10:21:50 UTC (rev 7877)
+++ branches/nexuiz-2.0/data/qcsrc/server/bots.qc	2009-09-23 10:24:51 UTC (rev 7878)
@@ -359,77 +359,61 @@
 
 
 
-// lag simulation
+// Lag simulation
+#define LAG_QUEUE_LENGTH 4
 
 .void(float t, float f1, float f2, entity e1, vector v1, vector v2, vector v3, vector v4) lag_func;
 
-// upto 5 queued messages
-.float lag1_time;
-.float lag1_float1;
-.float lag1_float2;
-.entity lag1_entity1;
-.vector lag1_vec1;
-.vector lag1_vec2;
-.vector lag1_vec3;
-.vector lag1_vec4;
+.float lag_time[LAG_QUEUE_LENGTH];
+.float lag_float1[LAG_QUEUE_LENGTH];
+.float lag_float2[LAG_QUEUE_LENGTH];
+.vector lag_vec1[LAG_QUEUE_LENGTH];
+.vector lag_vec2[LAG_QUEUE_LENGTH];
+.vector lag_vec3[LAG_QUEUE_LENGTH];
+.vector lag_vec4[LAG_QUEUE_LENGTH];
+.entity lag_entity1[LAG_QUEUE_LENGTH];
 
-.float lag2_time;
-.float lag2_float1;
-.float lag2_float2;
-.entity lag2_entity1;
-.vector lag2_vec1;
-.vector lag2_vec2;
-.vector lag2_vec3;
-.vector lag2_vec4;
+ void lag_update()
+ {
+	float i;
+	for(i=0;i<LAG_QUEUE_LENGTH;++i)
+	{
+		if (self.lag_time[i])
+		if (time > self.lag_time[i])
+		{
+			self.lag_func(
+				self.lag_time[i], self.lag_float1[i], self.lag_float2[i],
+				self.lag_entity1[i], self.lag_vec1[i], self.lag_vec2[i], self.lag_vec3[i],
+				self.lag_vec4[i]
+			);
+			// Clear this position on the queue
+			self.(lag_time[i]) = 0;
+		}
+	}
+ };
 
-.float lag3_time;
-.float lag3_float1;
-.float lag3_float2;
-.entity lag3_entity1;
-.vector lag3_vec1;
-.vector lag3_vec2;
-.vector lag3_vec3;
-.vector lag3_vec4;
+ float lag_additem(float t, float f1, float f2, entity e1, vector v1, vector v2, vector v3, vector v4)
+ {
+	float i;
+	for(i=0;i<LAG_QUEUE_LENGTH;++i)
+	{
+		// Find a free position on the queue
+		if (self.lag_time[i] == 0)
+		{
+			self.(lag_time[i]) = t;
+			self.(lag_vec1[i]) = v1;
+			self.(lag_vec2[i]) = v2;
+			self.(lag_vec3[i]) = v3;
+			self.(lag_vec4[i]) = v4;
+			self.(lag_float1[i]) = f1;
+			self.(lag_float2[i]) = f2;
+			self.(lag_entity1[i]) = e1;
+			return TRUE;
+		}
+	}
+ 	return FALSE;
+ };
 
-.float lag4_time;
-.float lag4_float1;
-.float lag4_float2;
-.entity lag4_entity1;
-.vector lag4_vec1;
-.vector lag4_vec2;
-.vector lag4_vec3;
-.vector lag4_vec4;
-
-.float lag5_time;
-.float lag5_float1;
-.float lag5_float2;
-.entity lag5_entity1;
-.vector lag5_vec1;
-.vector lag5_vec2;
-.vector lag5_vec3;
-.vector lag5_vec4;
-
-void lag_update()
-{
-	if (self.lag1_time) if (time > self.lag1_time) {self.lag_func(self.lag1_time, self.lag1_float1, self.lag1_float2, self.lag1_entity1, self.lag1_vec1, self.lag1_vec2, self.lag1_vec3, self.lag1_vec4);self.lag1_time = 0;}
-	if (self.lag2_time) if (time > self.lag2_time) {self.lag_func(self.lag2_time, self.lag2_float1, self.lag2_float2, self.lag2_entity1, self.lag2_vec1, self.lag2_vec2, self.lag2_vec3, self.lag2_vec4);self.lag2_time = 0;}
-	if (self.lag3_time) if (time > self.lag3_time) {self.lag_func(self.lag3_time, self.lag3_float1, self.lag3_float2, self.lag3_entity1, self.lag3_vec1, self.lag3_vec2, self.lag3_vec3, self.lag3_vec4);self.lag3_time = 0;}
-	if (self.lag4_time) if (time > self.lag4_time) {self.lag_func(self.lag4_time, self.lag4_float1, self.lag4_float2, self.lag4_entity1, self.lag4_vec1, self.lag4_vec2, self.lag4_vec3, self.lag4_vec4);self.lag4_time = 0;}
-	if (self.lag5_time) if (time > self.lag5_time) {self.lag_func(self.lag5_time, self.lag5_float1, self.lag5_float2, self.lag5_entity1, self.lag5_vec1, self.lag5_vec2, self.lag5_vec3, self.lag5_vec4);self.lag5_time = 0;}
-};
-
-float lag_additem(float t, float f1, float f2, entity e1, vector v1, vector v2, vector v3, vector v4)
-{
-	if (self.lag1_time == 0) {self.lag1_time = t;self.lag1_float1 = f1;self.lag1_float2 = f2;self.lag1_entity1 = e1;self.lag1_vec1 = v1;self.lag1_vec2 = v2;self.lag1_vec3 = v3;self.lag1_vec4 = v4;return TRUE;}
-	if (self.lag2_time == 0) {self.lag2_time = t;self.lag2_float1 = f1;self.lag2_float2 = f2;self.lag2_entity1 = e1;self.lag2_vec1 = v1;self.lag2_vec2 = v2;self.lag2_vec3 = v3;self.lag2_vec4 = v4;return TRUE;}
-	if (self.lag3_time == 0) {self.lag3_time = t;self.lag3_float1 = f1;self.lag3_float2 = f2;self.lag3_entity1 = e1;self.lag3_vec1 = v1;self.lag3_vec2 = v2;self.lag3_vec3 = v3;self.lag3_vec4 = v4;return TRUE;}
-	if (self.lag4_time == 0) {self.lag4_time = t;self.lag4_float1 = f1;self.lag4_float2 = f2;self.lag4_entity1 = e1;self.lag4_vec1 = v1;self.lag4_vec2 = v2;self.lag4_vec3 = v3;self.lag4_vec4 = v4;return TRUE;}
-	if (self.lag5_time == 0) {self.lag5_time = t;self.lag5_float1 = f1;self.lag5_float2 = f2;self.lag5_entity1 = e1;self.lag5_vec1 = v1;self.lag5_vec2 = v2;self.lag5_vec3 = v3;self.lag5_vec4 = v4;return TRUE;}
-	// no room for it (what is the best thing to do here??)
-	return FALSE;
-};
-
-
 // Random skill system
 .float bot_thinkskill;
 .float bot_mouseskill;
@@ -3124,3 +3108,12 @@
 		bot_cvar_nextthink = time + 5;
 	}
 };
+
+FTEQCC_YOU_SUCK_THIS_IS_NOT_UNREFERENCED(lag_time);
+FTEQCC_YOU_SUCK_THIS_IS_NOT_UNREFERENCED(lag_float1);
+FTEQCC_YOU_SUCK_THIS_IS_NOT_UNREFERENCED(lag_float2);
+FTEQCC_YOU_SUCK_THIS_IS_NOT_UNREFERENCED(lag_vec1);
+FTEQCC_YOU_SUCK_THIS_IS_NOT_UNREFERENCED(lag_vec2);
+FTEQCC_YOU_SUCK_THIS_IS_NOT_UNREFERENCED(lag_vec3);
+FTEQCC_YOU_SUCK_THIS_IS_NOT_UNREFERENCED(lag_vec4);
+FTEQCC_YOU_SUCK_THIS_IS_NOT_UNREFERENCED(lag_entity1);

Modified: branches/nexuiz-2.0/data/qcsrc/server/cl_weapons.qc
===================================================================
--- branches/nexuiz-2.0/data/qcsrc/server/cl_weapons.qc	2009-09-23 10:21:50 UTC (rev 7877)
+++ branches/nexuiz-2.0/data/qcsrc/server/cl_weapons.qc	2009-09-23 10:24:51 UTC (rev 7878)
@@ -297,6 +297,8 @@
 // Bringed back weapon frame
 void W_WeaponFrame()
 {
+	vector fo, ri, up;
+
 	if((arena_roundbased && time < warmup) || ((time < game_starttime) && !cvar("sv_ready_restart_after_countdown")))
 		return;
 
@@ -313,6 +315,9 @@
 	}
 
 	makevectors(self.v_angle);
+	fo = v_forward; // save them in case the weapon think functions change it
+	ri = v_right;
+	up = v_up;
 
 	// Change weapon
 	if (self.weapon != self.switchweapon)
@@ -362,11 +367,21 @@
 			wb = 0;
 		}
 		if(wb)
+		{
+			v_forward = fo;
+			v_right = ri;
+			v_up = up;
 			weapon_action(self.weapon, WR_THINK);
+		}
 		if (time + frametime * 0.5 >= self.weapon_nextthink)
 		{
 			if(self.weapon_think)
+			{
+				v_forward = fo;
+				v_right = ri;
+				v_up = up;
 				self.weapon_think();
+			}
 			else
 				bprint("\{1}^1ERROR: undefined weapon think function for ", self.netname, "\n");
 		}

Modified: branches/nexuiz-2.0/data/qcsrc/server/cl_weaponsystem.qc
===================================================================
--- branches/nexuiz-2.0/data/qcsrc/server/cl_weaponsystem.qc	2009-09-23 10:21:50 UTC (rev 7877)
+++ branches/nexuiz-2.0/data/qcsrc/server/cl_weaponsystem.qc	2009-09-23 10:24:51 UTC (rev 7878)
@@ -131,7 +131,6 @@
 	float nudge = 1; // added to traceline target and subtracted from result
 	local vector trueaimpoint;
 	local float oldsolid;
-	float oldmask;
 	vector vecs, dv;
 	oldsolid = ent.dphitcontentsmask;
 	if(ent.weapon == WEP_CAMPINGRIFLE)

Modified: branches/nexuiz-2.0/data/qcsrc/server/race.qc
===================================================================
--- branches/nexuiz-2.0/data/qcsrc/server/race.qc	2009-09-23 10:21:50 UTC (rev 7877)
+++ branches/nexuiz-2.0/data/qcsrc/server/race.qc	2009-09-23 10:24:51 UTC (rev 7878)
@@ -450,34 +450,46 @@
 	self = spawn();
 	self.classname = "player";
 
-	for(i = 0; i <= race_highest_checkpoint; ++i)
+	if(g_race)
 	{
-		self.race_checkpoint = race_NextCheckpoint(i);
+		for(i = 0; i <= race_highest_checkpoint; ++i)
+		{
+			self.race_checkpoint = race_NextCheckpoint(i);
 
-		// race only (middle of the race)
-		g_race_qualifying = 0;
-		self.race_place = 0;
-		if(!Spawn_FilterOutBadSpots(findchain(classname, "info_player_deathmatch"), world, 0, FALSE))
-			error(strcat("Checkpoint ", ftos(i), " misses a spawnpoint with race_place==", ftos(self.race_place), " (used for respawning in race) - bailing out"));
+			// race only (middle of the race)
+			g_race_qualifying = 0;
+			self.race_place = 0;
+			if(!Spawn_FilterOutBadSpots(findchain(classname, "info_player_deathmatch"), world, 0, FALSE))
+				error(strcat("Checkpoint ", ftos(i), " misses a spawnpoint with race_place==", ftos(self.race_place), " (used for respawning in race) - bailing out"));
 
-		if(i == 0)
-		{
-			// qualifying only
-			g_race_qualifying = 1;
-			self.race_place = race_lowest_place_spawn;
-			if(!Spawn_FilterOutBadSpots(findchain(classname, "info_player_deathmatch"), world, 0, FALSE))
-				error(strcat("Checkpoint ", ftos(i), " misses a spawnpoint with race_place==", ftos(self.race_place), " (used for qualifying) - bailing out"));
-			
-			// race only (initial spawn)
-			g_race_qualifying = 0;
-			for(p = 1; p <= race_highest_place_spawn; ++p)
+			if(i == 0)
 			{
-				self.race_place = p;
+				// qualifying only
+				g_race_qualifying = 1;
+				self.race_place = race_lowest_place_spawn;
 				if(!Spawn_FilterOutBadSpots(findchain(classname, "info_player_deathmatch"), world, 0, FALSE))
-					error(strcat("Checkpoint ", ftos(i), " misses a spawnpoint with race_place==", ftos(self.race_place), " (used for initially spawning in race) - bailing out"));
+					error(strcat("Checkpoint ", ftos(i), " misses a spawnpoint with race_place==", ftos(self.race_place), " (used for qualifying) - bailing out"));
+				
+				// race only (initial spawn)
+				g_race_qualifying = 0;
+				for(p = 1; p <= race_highest_place_spawn; ++p)
+				{
+					self.race_place = p;
+					if(!Spawn_FilterOutBadSpots(findchain(classname, "info_player_deathmatch"), world, 0, FALSE))
+						error(strcat("Checkpoint ", ftos(i), " misses a spawnpoint with race_place==", ftos(self.race_place), " (used for initially spawning in race) - bailing out"));
+				}
 			}
 		}
 	}
+	else
+	{
+		// qualifying only
+		self.race_checkpoint = race_NextCheckpoint(0);
+		g_race_qualifying = 1;
+		self.race_place = race_lowest_place_spawn;
+		if(!Spawn_FilterOutBadSpots(findchain(classname, "info_player_deathmatch"), world, 0, FALSE))
+			error(strcat("Checkpoint ", ftos(i), " misses a spawnpoint with race_place==", ftos(self.race_place), " (used for qualifying) - bailing out"));
+	}
 
 	g_race_qualifying = qual;
 

Modified: branches/nexuiz-2.0/data/qcsrc/server/w_rocketlauncher.qc
===================================================================
--- branches/nexuiz-2.0/data/qcsrc/server/w_rocketlauncher.qc	2009-09-23 10:21:50 UTC (rev 7877)
+++ branches/nexuiz-2.0/data/qcsrc/server/w_rocketlauncher.qc	2009-09-23 10:24:51 UTC (rev 7878)
@@ -14,6 +14,12 @@
 {
 	W_Rocket_Unregister();
 
+	if(other.takedamage == DAMAGE_AIM)
+		if(other.classname == "player")
+			if(IsDifferentTeam(self.owner, other))
+				if(IsFlying(other))
+					announce(self.owner, "announcer/male/airshot.wav");
+
 	self.event_damage = SUB_Null;
 	self.takedamage = DAMAGE_NO;
 	RadiusDamage (self, self.owner, cvar("g_balance_rocketlauncher_damage"), cvar("g_balance_rocketlauncher_edgedamage"), cvar("g_balance_rocketlauncher_radius"), world, cvar("g_balance_rocketlauncher_force"), self.projectiledeathtype, other);



More information about the nexuiz-commits mailing list